Scheibenwischer Deal

Zylinderkopf für VW PASSAT B5 (3B2) 1.9 TDI, Leistung: 101 PS/74 kW

(47 Suchergebnisse)

Zum Fahrzeug passende Produkte: VW PASSAT B5 (3B2) 1.9 TDI, Leistung: 101 PS/74 kW (ändern)
Bevor Sie das Produkt in den Warenkorb legen, überprüfen Sie alle fahrzeugspezifischen Einschränkungen sowie Produkteigenschaften auf der Produktseite, um sicherzustellen, dass das gewählte Produkt mit Ihrem Fahrzeug kompatibel ist.
Nur anzeigen:
Platzierungskriterien
Einlassventil PARADOWSCY PVWG137
Hersteller:   PARADOWSCY
Herstellernummer:   PVWG137
6,97 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Einlaßventil FRECCIA R6128/S
Hersteller:   FRECCIA
Herstellernummer:   R6128/S
4,13 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Zylinderkopf AMC 908716
Hersteller:   AMC
Herstellernummer:   908716
720,41 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Schlepphebel, Motorsteuerung MOTIVE RS543A
Hersteller:   MOTIVE
Herstellernummer:   RS543A
131,15 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Dichtring, Ventilschaft CORTECO 49472017
Hersteller:   CORTECO
Herstellernummer:   49472017
0,27 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Kühlmittelregelventil THERMOTEC D0W003TT
Hersteller:   THERMOTEC
Herstellernummer:   D0W003TT
15,53 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Ventilführung FRECCIA G3593
Hersteller:   FRECCIA
Herstellernummer:   G3593
2,08 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Kühlmittelregelventil VAICO V10-3030
Hersteller:   VAICO
Herstellernummer:   V10-3030
18,32 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Dichtungssatz für Ventilschaft VICTOR REINZ 12-26058-02
Hersteller:   REINZ
Herstellernummer:   12-26058-02
3,19 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Zylinderkopfdichtung VICTOR REINZ 61-34250-20
Hersteller:   REINZ
Herstellernummer:   61-34250-20
23,35 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Ventilsicherungskeil AMC 653846
Hersteller:   AMC
Herstellernummer:   653846
0,71 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Zylinderkopfdichtung REINZ 61-34250-00
Zylinderkopfdichtung REINZ 61-34250-00
Hersteller:   REINZ
Herstellernummer:   61-34250-00
24,28 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Zylinderkopfdichtung VICTOR REINZ 61-34250-10
Hersteller:   REINZ
Herstellernummer:   61-34250-10
23,95 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Nockenwelle KOLBENSCHMIDT 50006304
Hersteller:   KOLBENSCHMIDT
Herstellernummer:   50006304
143,61 €inkl. MwSt, zzgl. Versand
2-4 Werktage
Nockenwellenlager NE 183050000300
Hersteller:   NE
Herstellernummer:   183050000300
24,34 €inkl. MwSt, zzgl. Versand
2-4 Werktage